> 文章列表 > 【28】Verilog进阶 - RAM的实现

【28】Verilog进阶 - RAM的实现

【28】Verilog进阶 - RAM的实现

VL53 单端口RAM

1 思路

简简单单,读取存储器单元值操作即可

2 功能猜想版

说明:
下面注释就是我对模块端口信号 自己猜测的理解。
因为题目并没有说清楚,甚至连参考波形都没有给出。
唉,这就完全是让人猜测呢,如果一点学术背景的人来刷题,指定不容易!!
好在,我有较为深厚的学术背景

(1)代码

`timescale 1ns/1nsmodule RAM_1port(input clk,input rst,input enb,          //读写使能:0-写;1-读 input